重命名工程

如需对工程进行重命名,需做如下操作。

在工程列表选中该工程,单击鼠标右键,单击重命名工程,然后输入新的工程名称并按Enter键,即可完成对工程的重命名。

rename project rename project 1

完成上述操作后,工程文件夹和工程文件夹内的 .vis 文件均会被重命名。

我们重视您的隐私

我们使用 cookie 为您在我们的网站上提供最佳体验。继续使用该网站即表示您同意使用 cookie。如果您拒绝,将使用一个单独的 cookie 来确保您在访问本网站时不会被跟踪或记住。